CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIR Verilog

搜索资源列表

  1. fir_filter

    0下载:
  2. 使用Verilog编程实现的分布式FIR滤波器源码,经过调试能够完成功能-Distributed programming using the Verilog source code FIR filters, after a debugging feature to complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:14618
    • 提供者:lisa1027
  1. a

    0下载:
  2. 个人整理的关于FIR滤波器、加法器、减法器的verilog程序,供大家下载-It’s about some programs about filter,and some others I‘ll be happy if it s better for you~~~
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:4438
    • 提供者:SkySeraph
  1. firVerilog

    0下载:
  2. 用verilog语言编写的一个FIR滤波器的程序-Verilog language with a FIR filter process
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:4194
    • 提供者:夏宝平
  1. firfilter14

    0下载:
  2. 用Quartus II实现综合布线,要求充分利用Altera Stratix/Stratix II的器件的DSPBLOCK资源,Quartus II综合出的系统最高工作频率达到270Mhz以上.用Verilog进行编程。-Pipeline FIR structure。
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:2026
    • 提供者:卢大成
  1. fir_srg

    0下载:
  2. 该程序是利用Verlag HDL硬件描述语言实现的fir数字滤波器,希望对刚学习verilog的朋友有所帮助。-The procedure is to use Verlag HDL hardware descr iption language implementation of fir digital filters, just want to help a friend learn verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:631
    • 提供者:孙科
  1. ser_fir

    0下载:
  2. 用verilog实现一个8阶的改进串行FIR低通滤波器,输入数据位宽为12比特,经符号扩展后变为13比特。-With verilog order to achieve an improvement of 8 serial FIR low-pass filter, the input data bit width of 12 bits by sign extension into a 13-bit after.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1213
    • 提供者:hgdlsl
  1. fir_16

    1下载:
  2. 用Verilog写的fir滤波器,16阶8位位宽,看看吧-Written using Verilog fir filter, 16-order 8-bit wide, to see if it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:743841
    • 提供者:刘安
  1. FIR_chanbing

    0下载:
  2. 串并结构的FIR滤波器,Verilog语言编写,希望对大家有帮助-String and the structure of FIR filter, Verilog language, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:13283
    • 提供者:
  1. fir_filter

    0下载:
  2. 一种fir滤波器的verilog程序,非常实用-fir filter very good write by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1165
    • 提供者:ningbo
  1. LPF

    0下载:
  2. 数字低通FIR滤波器Verilog实现代码-Verilog digital FIR filter implementation code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4013
    • 提供者:程超
  1. firlms

    0下载:
  2. 基于FPGA的自适应FIR滤波器的verilog设计与实现-Adaptive FIR Filter Based FPGA Design and Implementation of verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1130
    • 提供者:洪依
  1. robust_fir_latest.tar

    0下载:
  2. RobustVerilog generic FIR filter In order to create the Verilog design use the run.sh scr ipt in the run directory (notice that the run scr ipts calls the robust binary (RobustVerilog parser)). The filter can be built according to 3 differe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5748
    • 提供者:尤恺元
  1. fir_filter_generator

    0下载:
  2. FIR有限冲击响应滤波器verilog代码和测试-FIR finite FIR filter verilog code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1844810
    • 提供者:李雪利
  1. 8_oeder_signed_parellel_DA_FIR

    0下载:
  2. 本程序使用Verilog编写的程序。 本例是1个8阶对称系数的FIR滤波器,采用并行分布式算法。输入位宽为12位,输入是有符号的,即有正有负。-it s a program with Verilog
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2097
    • 提供者:张树林
  1. firfilt

    0下载:
  2. FIR滤波器verilog源代码,经过fpga验证可以被综合。-FIR filter verilog source code, fpga verification can be integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5275
    • 提供者:mmmm1111111111
  1. filter_dds_10.29_7.2

    0下载:
  2. 一个经过处理的FIR filter, verilog HDL实现在FPGA上-One new design of digital FIR filter , which can be implemented in FPGA kit
  3. 所属分类:Graph program

    • 发布日期:2017-05-04
    • 文件大小:1032835
    • 提供者:chen
  1. TverilogFIRh

    0下载:
  2. 基于verilog的FIR滤波器程序设计(调试过的的)-verilog , -Verilog program of FIR filter design (debug)-Verilog,
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:645226
    • 提供者:表现
  1. HalfbandDec

    0下载:
  2. 基于FPGA开发的11阶半带升余弦FIR滤波器,用在阅读器基带滤波时的抽取滤波器使用,采用verilog语言实现。-Raised cosine FIR filter based FPGA development 11 order of half-band decimation filter used in reader baseband filtering, using verilog language implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:1204
    • 提供者:小梦
  1. rc_flt

    0下载:
  2. 基于FPGA实现的64阶升余弦FIR并行滤波器,采用iso18000.6c标准实现,具有很好的低通滤波效果,已通过后仿上板验证,采用verilog语言实现。-64 order raised cosine FIR FPGA-based parallel filters, implemented using iso18000.6c standard with a low-pass filtering effect imitation on the board has passed validatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:3642
    • 提供者:小梦
  1. FIR_lowpass

    0下载:
  2. FIR 滤波器 verilog 语言编写 很实用-FIR filter design
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:747
    • 提供者:小关
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com